Skip to main content

ORIGINAL RESEARCH article

Front. Neurosci.
Sec. Neuromorphic Engineering
Volume 18 - 2024 | doi: 10.3389/fnins.2024.1457774

Real-time multicompartment Hodgkin-Huxley neuron emulation on SoC FPGA

Provisionally accepted
  • 1 Université de Bordeaux, Bordeaux, France
  • 2 Institute of Industrial Science, The University of Tokyo, Tokyo, Tôkyô, Japan

The final, formatted version of the article will be published soon.

    Advanced computational models and simulations to unravel the complexities of brain function has known a growing interest in recent years in the field of neurosciences, driven by significant technological progress in computing platforms. Multicompartment models, which capture the detailed morphological and functional properties of neural circuits, represent a significant advancement in this area providing more biological coherence than single compartment modeling. These models serve as a cornerstone for exploring the neural basis of sensory processing, learning paradigms, adaptive behaviors and neurological disorders. Yet, the high complexity of these models presents a challenge for their real-time implementation, which is essential for exploring alternative therapies for neurological disorders like electroceutics that rely on biohybrid interaction. Here, we present an accessible, user-friendly and real-time emulator for multicompartment Hodgkin-Huxley neurons on SoC FPGA. Our system enables real-time emulation of multicompartment neurons while emphasizing cost-efficiency, flexibility, and ease of use.We showcase an implementation utilizing a technology that remains underrepresented in the current literature for this specific application. We anticipate that our system will contribute to the enhancement of computation platforms by presenting an alternative architecture for multicompartment computation. Additionally, it constitutes a step towards developing neuromorphic-based neuroprostheses for bioelectrical therapeutics through an embedded real-time platform running at a similar timescale to biological networks.

    Keywords: SoC FPGA, Multicompartment neurons, Hodgkin-Huxley, Real-time, Spiking Neural network

    Received: 01 Jul 2024; Accepted: 14 Oct 2024.

    Copyright: © 2024 Beaubois, Ikeuchi, Branchereau and Levi. This is an open-access article distributed under the terms of the Creative Commons Attribution License (CC BY). The use, distribution or reproduction in other forums is permitted, provided the original author(s) or licensor are credited and that the original publication in this journal is cited, in accordance with accepted academic practice. No use, distribution or reproduction is permitted which does not comply with these terms.

    * Correspondence: Timothée Levi, Université de Bordeaux, Bordeaux, France

    Disclaimer: All claims expressed in this article are solely those of the authors and do not necessarily represent those of their affiliated organizations, or those of the publisher, the editors and the reviewers. Any product that may be evaluated in this article or claim that may be made by its manufacturer is not guaranteed or endorsed by the publisher.